Static Timing Analysis (STA) is at the core of digital design sign-off, ensuring reliable performance and timing closure before fabrication. This training covers:
Fundamentals of setup and hold time fixing
Timing constraint development for complex SoC designs
Transition times, PVT variations, crosstalk noise and delay
Advanced OCV and path-based vs. graph-based analysis
Clock path and data path ECOs for timing closure
Multi-Mode Multi-Corner (MMMC) sign-off strategies
Participants will gain hands-on experience using industry tools (Synopsys PrimeTime) to analyze real designs, fix timing violations, and implement ECOs. The course also emphasizes sign-off quality analysis and advanced topics such as CPPR and pessimism removal techniques.
By the end of the STA module, candidates will have the expertise to perform block-level and full-chip STA, making them job-ready for Physical Design and Sign-Off roles.
The Synthesis Training module covers the entire flow of converting RTL to gate-level netlists, applying constraints, optimizing designs, and preparing hand-off databases for physical design (PnR). Key topics include:
RTL design constructs and elaboration
Generic and technology-mapped synthesis
Constraint development using SDC
Design optimization techniques for area, power, and timing
Scan insertion and DFT considerations
Logical Equivalence Checking (LEC)
Hierarchical and topographical synthesis methods
Hands-on projects will be conducted using Cadence Genus/RTL Compiler for synthesis and Synopsys Design Compiler for validation.
By the end of this module, participants will be confident in performing RTL-to-GDSII hand-off, writing and debugging constraints, and ensuring timing-clean, power-optimized netlists.
Master Synthesis and STA flow from RTL to sign-off
Develop robust timing constraints (SDC) for real designs
Fix setup and hold violations using ECOs
Gain expertise in MMMC timing analysis
Work on 2+ industry-standard sign-off projects with tool access (institute and remote VPN)
Hands-on expertise with Synopsys Spyglass, Design Compiler, PrimeTime, and Cadence Genus
B.E/B.Tech, M.E/M.Tech graduates aiming to enter VLSI front-end design and sign-off roles
Physical Design Engineers looking to strengthen their STA and synthesis skills
Professionals seeking to transition into timing closure and design sign-off careers
Setup and hold timing checks
Stage delay, cell delay & net delay
Asynchronous flop recovery/removal
Cross-clock and interface timing analysis
Crosstalk delay & noise
Advanced OCV and CPPR
MMMC timing analysis
Graph-based vs. path-based analysis
ECO-based timing closure
Constraint development and validation
RTL to gate-level netlist generation
RTL constructs and elaboration
DesignWare and logical operators
Clock gating for power reduction
Don’t-use/don’t-touch cells
Scan insertion techniques
Latch-based designs and time borrowing
Multi-cycle and false path exceptions
Hierarchical synthesis strategies
Logical Equivalence Check (LEC) fundamentals
This comprehensive program ensures that participants develop both theoretical expertise and hands-on tool skills, enabling them to confidently handle timing closure and synthesis challenges in modern VLSI design projects.
Course | Synthesis & STA Training |
---|---|
Duration | 18 weeks |
Next Batch | |
Fee | INR 29000 +GST at 18%(E-learning) |
Tool | Design Compiler and Primetime |
Mode of training | Training is offered as eLearning + Live sessions Student gets access to all course recorded videos. Live sessions are done over the weekends which covers theory revision and complete hands on lab sessions. |
Tool Access | 24×7 tool access for complete course duration |
Certificate | Issued |
Batch Size | 20 |
Assignments | 5 |